新闻  |   论坛  |   博客  |   在线研讨会
Altera 如何令逻辑设计在新一代CPLD中尽显优势 (1)
zhchxgh | 2009-07-14 22:41:05    阅读:3747   发布文章

Altera 如何令逻辑设计在新一代CPLD中尽显优势 (1)

yinzhe问:OpenCore都是免费的吗?他们的稳定性有保证吗?谢谢 
专家(guest_radCF4EA)答复:OpenCore可以免费下载,但是编译后不能产生下载文件,如果仿真成功后,可以考虑购买此IP。  稳定性没有问题,这是Altera针对自己的器件设计优化的。 
 
niuniuo问:fpga里的pll是模拟的吗? 
专家(guest_radCF4EA)答复:Altera的FPGA内PLL是模拟的 
 
aphilo问:RTL Viewer具体的作用是什么 
专家(altera)答复:RTL 作用是提供一个在你仿真前可视的检查工具,在调试源码时,提供详细的实现原理,找出感兴趣的节点,去优化它 
 
xiekunxian问:QUARTUS内部是不是有做好的模块来减轻工程师的工作量 
专家(altera)答复:是的,Quartus II中提供了一些library,客户可以通过megawizard来生成,在生成的过程中可以进行参数的配置。 
 
iamnie99问:QUARTUSII教程和用户手册的下载地址是什么 
专家(guest_radCF4EA)答复:http://www.altera.com/literature/lit-qts.jsp 
 
m193212问:FPGA的计算单位即平常说的门是指的什么单元? 
专家(altera)答复:FPGA中的基本单元是LE,一个LE包含一个四输入查找表(即Look up Table)和一个触发器。 
 
liuchuangc问:byteblaster2是否可以下载所有类型的altera的cpld? 
专家(guest_radCF4EA)答复:可以 
 
wofansile问:请问消除毛刺的方法都有哪些? 
专家(altera)答复:大量采用同步设计,避免毛刺出现在数据的建立和保持时间上, 以上方法可以大大减少毛刺,但它并不能完全消除毛刺,有时,我们必须手工修改电路来去除我们通常使用"采样"的方法。 一般说来,冒险出现在信号发生电平转换的时刻,也就是说在输出信号的建立时间内会发生冒险,在输出信号的保持时间内是不会有毛刺信号出现的。如果在输出信号的保持时间内对其进行"采样",就可以消除毛刺信号的影响。  
 
myvanilla问:max2芯片可现场编程吗?? 
专家(guest_rad10937)答复:可以 
 
zhiboxie问:我目前用的还是FLEX10K100E,环境是MAXPLUSII,感觉对于底层的LAB等资源不太懂,你们有没有详细的资料? 
专家(guest_radCF4EA)答复:可以参考这种器件的数据手册,从Altera网站上可以下载。建议使用较新的器件,如Cyclone,性价比会更好 
 
birds_man问:如果我的机器上没有网卡是不是就不能用QII了? 
专家(altera)答复:也可以通过Drive Serial Number申请license。Drive Serial Number可以在QII中,license setup窗口中看到。 
 
jimmyguang问:PLL只能实现分频吗? 
专家(guest_radCF4EA)答复:Altera的PLL是模拟锁相环,可以实现倍频和分频 
 
jbb8888问:quartusII支不支持简单的数模混合电路的设计? 
专家(guest_radCF4EA)答复:FPGA内只能实现数字电路的设计 
 
lyghj问:已经供货的EPM1270是哪种封装?TQFP144还是BGA256? 
专家(guest_radCF4EA)答复:现在两种封装的都可以订货了,是FBGA256 
 
eastcome问:MAXII cpld掉电后内容还在吗 
专家(guest_rad10937)答复:maxII cpld具有非易失性,掉电后逻辑还在 
 
yinzhe问:用maxplusII可以支持maxII器件吗? 
专家(altera)答复:MAXPLUS II中不支持MAX II器件,只有在Quartus II中才支持!  
 
maccaboy问:Q2支持EPM7128S吗? 
专家(guest_rad10937)答复:支持 
 
niuniuo问:我在网上下载到了altera提供的sdram控制器的原码,此控制器有用到了pll,可是芯片上的pll已被其他设计用去了,不知道sdram控制器的pll是不是可以不用,直接使用外部输入的clk。 
专家(altera)答复:如果你的设计中占用了pll的资源,那么sdram controller中的pll是可以不用的,可以直接使用外部给的clock,这就需要你自己修改source code。 
 
eexuefly问:哪里有qutus 2 4.0版本的中文帮助手册?软件使用手册? 
专家(guest_radCF4EA)答复:http://www.altera.com/literature/lit-qts.jsp 这个页面可以下载中文版QuartusII的介绍和应用手册 
 
sagi问:听说目前的DSP解决方案还可以通过matlab和FPGa的方法,各位专家能不能简单介绍一下? 
专家(altera)答复:ALTERA的STRATIX 系列具有DSP BLOCK  , 需要在MATLAB 去建立数学模型,然后使用DSP BUILDER 去产生HDL 模块,最后综合到FPGA 
 
thocr问:能够给出使用stratix设计Dsp的例程,以方便客户应用到其开发领域中去? 
专家(guest_radEC5BF)答复:你可以和当地的代理FAE联系可以得到更多的应用实例。 
 
wqdeshi问:PLD可否代替单片机进行硬件电路的开发?或者能否相兼容? 
专家(guest_rad10937)答复:在很多情况下pld可以代替单片机并实现比单片机更强大的功能 
 
zhang99999问:QUARTUS2会自动调用MODELSIM ,但不会加载波形? 
专家(guest_rad10937)答复:modelsim是用的矢量仿真,不是波形仿真,您需要先些好测试矢量 
 
fzq21ic问:要实现数字下变频器,altera有什么IP吗?一般推荐什么系列的FPGA? 
专家(guest_radCF4EA)答复:Altera提供DDC的参考设计,其中包含ALtera提供的NCO和FIR的IP 
 
hxdzjk问:请问nios与niosII 都可以免费下载吗?nios的开发板可以用作niosII开发吗? 
专家(guest_radEC5BF)答复:你可以从altea网站下载NIOS的试用版本。NIOS 到NIOS II只是一个软件方面的升级,你可以把NIOS II 移植到你的开发板上。 
 
LZW_717问:我是初学者,您认为先用MAX PLUS II 好,还是用QuartusII 好 
专家(guest_rad10937)答复:学quartusII 要好些,支持面广,您可在http://www.altera.com/literature/lit-qts.jsp上找到学习资料 
 
zhangyi79问:when I get the quartusii software license, the wizzard want me to input the nic(network interface card), how can I get the NIC? 
专家(altera)答复:安装了Quartus II之后,打开QII,点击Tools菜单,打开license setup,然后在该窗口的最底下可以看到NIC number! 
 
buttern问:quartus ii 对FLEX 6000 完全支持吗? 
专家(guest_radCF4EA)答复:QuartusII 4.0支持Flex6000,但是建议使用Altera新的Cyclone系列fpga,性价比会更好 
 
yuhw问:如果我要用cpu通过jtag直接去下载fgpa的程序,不知是否容易实现 
专家(guest_radEC5BF)答复:可以。 
 
tom_tom问:您认为使用MAXII系列设计描述采用VHDL好还是VERILOG好 
专家(guest_radCF4EA)答复:都是可以的,根据个人习惯。 
 
yj_sky问:请问Altera的CPLD器件能否编程作为双口SRAM使用,能够编程作为FIFO缓存,其容量如何计算?谢谢! 
专家(guest_radCF4EA)答复:如过小容量的RAM可以用CPLD内的宏单元实现,但是很浪费资源。大一些的可以用FPGA中的RAM块实现 
 
niuniuo问:lpm库中的设计器件和用megawizard生成的器件是否一样? 
专家(altera)答复:是一样的,用megawizard生成的库,最终还是调用的lpm的库文件! 
 
m193212问:对于较复杂的系统,开发时使用语言容易些还是使用原理图容易些?谢谢 
专家(guest_radEC5BF)答复:建议使用硬件描述语言实现你的设计。 
 
chinafly问:fpga 可以作为DSP使用吗?我在以前的提问看到的。 
专家(guest_rad10937)答复:当然可以 
 
tangsx问:请问什么是nios核? 
专家(altera)答复:简单的说,nios是一个16bit or 32bit的软核微处理器(是由altera开发的,免费的),nios中提供的所有外设都是由HDL语言描述的,并且可以在Quartus II中进行综合,可以用GNUPro tool作为软件调试平台。 
 
maccaboy问:请问用quartusII软件到底可否对EMP7128进行仿真和编程,你们的回答矛盾 
专家(altera)答复:QUARTUS2可以对MAX7000进行仿真和编程, 
 
bright1111问:———续刚才问题————:既然M4K是掉电内容消失的,那么我就不能用M4k来代替外部的flash存储器了? 
专家(guest_radEC5BF)答复:你可以把内部的RAM模拟成ROM用,那样你就可以存储一定量的数据在的FPGA内部,使用时可以读取。 
 
zjw1231问:如何获得OpenCore? 
专家(guest_radEC5BF)答复:你可以从altera网站得到更多的opencore或从altera AMPP IP 合作伙伴处得到。 
 
wqdeshi问:请问:QustusII免费版的下载地址 
专家(guest_radCF4EA)答复:https://www.altera.com/support/software/download/sof-download_center.html 选择 Quartus II Web Edition 
 
shengliw_3问:请问哪里有pll的软件包? 
专家(guest_radEC5BF)答复:你是说如何利用FPGA中的PLL吗?你可以通过GUI界面的向导参数化你的PLL就可以使用PLL了。 
 
xiaorong_f问:请问在Active HDL 上怎么实现Altera器件的仿真、综合 
专家(guest_rad10937)答复:您可在Active HDL 的Design Flow Manager中设置 
 
cbxue问:在MAXII中,有8Kbit的user flash,它就可以作为rom使用,这8Kbit的user flash是CPLD自带的吗?哪些型号中有?如何编程? 
专家(altera)答复:在MAX2系列中都有8192 BITS的ROM ,可以在QUARTUS2的MEGAWIZAD PLUS_IN MANAGER 中的PLASH MEMORY 去定义它的形式 
 
zszhang问:王先生你好,我想问一个有关sopc builder的问题,在quartusII4.0中是不是必须装上nios核后才能用? 
专家(guest_radEC5BF)答复:在quartusII4.0中sopc builder作为一个system on a chip 的开发工具是嵌入在Q II中的,它是不仅仅用来NIOS的。如果你要开发NIOS的,你必须安装NIOS相关软件开发包的。 
 
thmz问:我现在使用ep1c6t,外围电路的配置应注意什么,pll必须要1.5v输入电压吗?那么,clk0-3要接外围电路吗? 
专家(altera)答复:外围配置电路可以参考cyclone handbook,其中提供了多种配置方式(PS,JTAG,AS)。pll的电压必须是1.5V,时钟管脚不需要外围电路。 
 
wowow问:cyclone的PLL是下载后确定不变的,还是能动态更新频率? 
专家(guest_radCF4EA)答复:Cyclone的PLL频率不能改变,可以用Stratix器件的PLL 具有这个功能 
 
xue_ting问:QuartusⅡ又免费的软件吗? 
专家(guest_radCF4EA)答复:可以从Altera网上下载QuartusII的网络版 
 
sealhu问:cpld或FPGA如何作为DSP的外部RAM进行操作?即FPGA和dSP如何通讯? 
专家(guest_radEC5BF)答复:不同的DSP厂商可能提供不同外围接口方式到其他芯片,FPGA可以灵活的根据不同的DSP接口要求与DSP进行通讯。 
 
tom_tom问:MAXII是否支持三态功能 
专家(altera)答复:MAX II器件支持管脚三态!  
 
laixu007问:我通过什么方式才能买到QUARTUS 2 软件呢? 
专家(guest_radCF4EA)答复:可联系Altera的代理商 
 
huajinz问:如何才能使原理图输入的所有逻辑不会被综合优化掉? 
专家(guest_radF1654)答复:在Qii中,可以将不想被优化掉的结点和逻辑增加keep Combinational Node或者Preserve Registers约束(AssignmentEditor)。 
 
zluo问:在简单的CPLD设计中,用原理图输入是否比用VHDL更加方便? 
专家(altera)答复:用原理图输入和用语言输入各有各的优势,原理图会比较直观,而语言的设计比较方便存档,客户可以根据实际情况进行选择。当然,如果只是非常简单的设计,原理图可能会更方便些!  
 
第一关问:我想再用的是lattice的m4系列,我想问一下贵公司的产品中有没有和它相对应的器件。如果有的话,io地推动能力如何?我的设计应用要求高速、低功耗,如果选用贵公司的产品能否推荐一下? 
专家(altera)答复:你可以使用ALTERA 的MAX2系列, 它是低功耗 ,高速的CPLD,逻辑单元比LATTICE 多 

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
推荐文章
最近访客