新闻  |   论坛  |   博客  |   在线研讨会
会议专家与工程师问答记录
zhchxgh | 2009-07-14 22:42:38    阅读:1570   发布文章

会议专家与工程师问答记录

平凡的人问:maxplusII支持3000系列吗? 
专家(ivan Li)答复:Both MP2 and QII can support Max3000A device. 
 
zheqiao问:请问贵公司的在有没有信道译码领域Ip Core,比如RS Turbo译码 
专家(Bill Yuan)答复:我们提供很多的信道编码IP Core,包括您提到的RS, 和 Turbo我们都有 
 
dian1231问:epic20,how much? 
专家(Horace)答复:Please send your contact point to me. My email: horace@cytecht.com  
 
fiendzzh问:cyclone 锁相环时钟输入是否可以为一正弦波? 
专家(ivan Li)答复:锁相环时钟输入是数字式的时钟信号,不能接模拟的正弦波信号. 
 
gdsxu问:这套demo的价格是多少 
专家(Horace)答复:Please let me know which demo kit ??? 
 
quyangming问:请问应用MAX PLUS可以进行NIOS开发吗? 
专家(Bill Yuan)答复:不行,需要Quartus II 软件 
 
luet问:请问陈先生,作为初学者如何才能快速的掌握CPLD/FPGA的开发? 
专家(Edward)答复:我们ALTERA的软件对于初学者来说很容易学习,另外我们ALTERA和骏龙科技公

司有很多的应用工程师可以提供技术支持.另外你还可以学习一些VHDL的设计知识. 
 
yangwu问:开发板能按linux kernel吗? 
专家(robin)答复:Yes! 
 
zhouzhengf问:onchip-memory是配置在nios cpu中间还是指配置在所用的fpga中? 
专家(Bill Yuan)答复:on-chip memory 是指用fpga内部的嵌入存储器块来实现nios软核数据或

程序存储器 
 
ZYB00335问:如何得到你们的书面资料 
专家(Horace)答复:Please send me your contact information. My email: 

horace@cytecht.com  
 
徐涛问:请问有无免费开发环境(试用版也可以),在哪下载? 
专家(Bill 

Yuan)答复:您可以去我们的网站上http://www.altera.com/下载我们的开发软件maxplus II 

和Quartus II免费版本,您使用的时候需要在我们的网站上http://www.altera.com/申请一个免费

的license即可 
 
qgfice问:在国内 CYlone芯片的价位大致在哪个档次,还有Quartus2.1软件开发工具是不是具有完

整的nois软硬件开发功能 谢谢 
专家(Horace)答复:The price will be based on your quantity, and Cyclone should be the 

lowest price FPGA in the world. For your develop Nios design, in addition to Quartus II 

software, you should also have SOPC software, suggest you buy the Cyclone-Nios Kit 

which have a special offer till to End of June, 2003. The price is USD 495 per kit 
 
zhouzhengf问:各位专家是怎么解决fpga中时延不确定对逻辑电路设计的影响的? 
专家(ivan Li)答复:Altera recommend customer to make synchronal logic in the FPGA, 

because you use synchronal logic design, all the application works with trigger of 

clock rising edge, which could reduce the different timing skew when you implement 

design in different device.  
 
xujin47问:原系统使用MCS-51及Z80处理器,怎样将这些系统转换成“Nios”系统?性能能否提高

? 
专家(robin)答复:Nios is support C/C++,and you can us GNUPro compile,link,and debug 

your nios systme. Nios is RISC architecture CPU.It will run a high better performance 

compare with MCS-51 and Z80. 
 
zhouzhengf问:使用nios软核进行产品的批量生产需要申请版权吗? 
专家(Bill Yuan)答复:如果您只需要将nios使用在Altera的FPGA产品内,不需要另外申请版权,

如果您想将nios移植到ASIC中,则需要另外申请版权 
 
shjjsj问:what is the different between FPGA and CPLD? 
专家(Jing Kuo)答复:The consensus now is that FPGA is Look-Up-Table based 

architecture and CPLD is Product-Term based architecture. 
 
sunhaihuan问:cyclone的明显优势是什么? 
专家(ivan Li)答复:Cyclone is altera newest device designed with the world advanced 

technology, 0.13um, full copper interconnect. The best advantage of cyclone is low 

price. 
 
xujin47问:Maxplus2学生版软件对用户有没有限制?能否开发已停产或即将停产的FPGA器件,例如

EPF10K20或EPM7128 
专家(Edward)答复:对EPF10K20或EPM7128没有限制,而且我们的免费软件还支持全系列的最新MAX

3000A,CYCLONE系列(这次SEMINAR讲到的). 
 
qanmingx问:请问avalon总线及其标准是什么 
专家(ivan Li)答复:Avalon bus is design by Altera, which is internal bus which 

interface to NIOS core. You can find the Avalon bus protocol in the documentation 

folder after you install NIOS megafunction. 
 
gxm771208问:how to maximized 
专家(Jing Kuo)答复:Please let us know what do you want to maximize. Thanks. 
 
fansr问:贵公司提供评估板电路原理图和印刷板图吗,ruhe 
专家(robin)答复:nios is License & Royalty Free. 
 
fansr问:计划出版Quartus软件相关书籍,公司可赞助吗?要求是什么? 
专家(Horace)答复:Please send your contact information to us: horace@cytecht.com 
 
lizhen7799问:请问怎么来确定PLD的延时啊 
专家(Bill Yuan)答复:我们的设计软件Maxplus II 和Quartus II都可以进行时序分析,在分析

的结果中可以清晰地看到每个pin的建立和保持时间,以及时钟信号的fmax,还有管脚倒管脚地延时

信息等,都可以看到 
 
lizhen7799问:nios是什么样的处理器啊 
专家(ivan Li)答复:Nios is a soft CPU core, 32-bits. Users can customize their own 

CPU core with different peripheries as they like. And Nios core can be implemented in 

all the altera device if it has enough resource.  
 
sunnychao问:32 bit 的内核的峰值MIPS为多少? 
专家(robin)答复:nios是一个嵌入在FPGA内部的softcpu,会因为你使用的Altera FPGA的性能有

所不同。在我们的cyclone FPGA器件中,可以到达50DMIPS。 
 
wangyujuan问:开始了吗? 
专家(Jing Kuo)答复:Yes. 
 
macray问:请问专家,如果因为条件限制,短时间内不能购买贵公司硬件评估产品,能不能先申请

一套软件用于学习? 
专家(Edward)答复:可以,请联系骏龙公司获取或上网http://www.altera.com/下载免费软件,具

体使用可寻求支持. 
 
fyx123问:内部时延和所用时钟有关系吗?在允许频率范围内,是否所用时钟频率越高,时钟延迟

越小?还是固定延迟? 
专家(Jing Kuo)答复:If I understood your question correctly, you are asking if clock 

delay is fixed inside FPGA.  The answer is Yes.  The delay from a clock input pin to an 

internal register is fixed, regardless what frequency it is running. 
 
quguangn问:需要使用配置信息的flex系列芯片如何加密?可否在线更改配置以实现新的功能,如

何操作? 
专家(Bill Yuan)答复:flex系列芯片无法加密,不过用户可以在系统中在设计一个EPLD,将一部

分功能用epld来实现,并将此EPLD加密,可以实现整个系统的加密;可以在线更改配置以实现新的

功能,需要预先将所以功能设计好,并将配置文件存储在存储器中,在不断电的情况下,用控制逻

辑或微处理器重新配置新的数据就可以实现新的功能 
 
quguangn问:quartus II 与 max+plus II  有何区别?为何要分成两个开发系统? 
专家(ivan Li)答复:QII 和MP2最大的区别是对支持的器件的不同.当然在做设计时,QII和MP2的

功能基本上都能完成任务, 只是在界面上有一些不一样. 不过ALTERA主要会向QII 发展, 现在所有

的MP2 PROJECT 已经可以在QII中完全兼容. 
 
kevin_li79问:您好!请问CPLD和FPGA的主要区别是什么? 
专家(Edward)答复:CPLD和FPGA的主要区别是:EPLD是EEPROM的工艺,FPGA是SRAM的工艺,也就是说

EPLD内的程序掉电后不会丢失而FPGA掉电后会丢失每次上电需重新配置.但SRAM工艺使FPGA容量越来

越大. 
 
fuzhuang问:nios 开发软件价格如何? 
专家(Horace)答复:You can buy the Cyclone-Nios kit or Stratix-Nios kit, and we have 

the special offer before end of June, 2003 Price = USD 495 
 
xujin47问:对于初学者来说,怎样取得QUARTUS2完整版及“Nios”试验板(Demo board) 
专家(Bill Yuan)答复:Quartus II 完整版本和NIOS试验板都需要购买,请和我们的代理商联系 
 
holly问:cyclone支持vhdl吗? 
专家(robin)答复:能够支持。 
 
macray问:请问专家,一套标准的NIOS的评估套件要几个银子? 
专家(Horace)答复:Cyclone-Nios Kit or Stratix-Nios Kit have a special offer before 

End of June, 2003  Price = USD 495 per Kit 
 
gmk54205问:在MAXPLUS 中怎样作才能对EPM7032S编程 
专家(Bill 

Yuan)答复:在maxplus中可以用下载电缆直接对EPM7032S编程,只需要打开programmer窗口,调入

需要编程的文件即可 
 
cxiang2001问:当前最热门用到的CPLD是什么? 
专家(ivan Li)答复:Max 3000A& Max7000 
 
fansr问:怎样能得到评估板的电原理图和印刷板图?????? 
专家(Bill Yuan)答复:我的会随评估板一起提供给客户电路原理图很印刷版图,所有的资料都在

配套的光盘中 
 
hobodom问:请问专家,stratix系列芯片是否在各个代理处都有销售,骏龙有的卖么?需要多少银

子?多长时间可以拿货? 
专家(Horace)答复:Yes, Cytech can sell Stratix Please let me know your contact 

information ( email, address, phone ) My email: horace@cytecht.com 
 
strongzhy问:请问max3000a系列未用到的管脚是否可以开路 
专家(Jing Kuo)答复:Yes.  You can reserve the unused i/o pins as tri-stated input.  

But you first have to include the empty pins in your design, and give pin assignments 

to them.  If you have a lot of such pins, say, 100. You can create an 100-to-1 mux, 

compile the design, and back-annotate the pins.  Then remove the mux logic and output, 

but keep the input pins in the design.  Also remember to remove the mux output from pin 

assignments.  This way the 100 pins would be reserved as tri-state inputs 
 
tinazhu问:请问关于设计的功耗问题,不知道在仿真中的精确度是多少? 
专家(Edgar Wong)答复:Dynamic power consumption of a device highly depends on the 

toggling frequency and data pattern of its inputs.  Therefore, if the simluation 

stimulus are closer to the actual operation condition of the device, the accuracy of 

power consumption estimation will increase. 
 
holly问:cyclone是否能支持c语言的开发 
专家(robin)答复:In fact,you can use C/C++ in Nios cpu. 
 
玉玟问:Noice要有什么语言编程? 
专家(Bill Yuan)答复:C 或 C++ 
 
sheepbaa问:建议在杭州设置代理点。 
专家(Horace)答复:Yes, Cytech is planning and will setup soon. 
 
xiaoweihua问:NIOS不能用汇编来开发吗? 
专家(ivan Li)答复:Nios can be developed with assembly language, and altera provide 

the assembly language description for customers. 
 
zhouzhengf问:maxplusii对vhdl语言的支持有限,quartus在这方面有否加强? 
专家(Edward)答复:QuartusII support better with VHDL,but we suggest the customer use 

the 3rd EDA tools to synthesis the VHDL or Verilog,then use our QuartusII to compile. 
 
xch2000_1980问:下载时总是提示unrecognised device or socket is empty,请问是什么原因(用

ByteblasterMV,WINXP系统,EPM7512AEQC208-10) 
专家(Edgar Wong)答复:MAX+PLUS II Help describes several causes for this error 

message. The following are three additional possibilities that are not listed in 

MAX+PLUS II Help: You may receive this error if you attempt to program a device when 

the Altera download cable is not powered. These cables receive power from the printed 

circuit board (PCB). Ensure that the PCB is powered properly and that the Altera 

download cable is securely connected to the PCB.  This error may also appear if you are 

attempting to program or configure one device when you actually have more than one 

device in a Joint Test Action Group (JTAG) chain. Click on Select Programming File 

(JTAG menu -> Multi-Device JTAG Setup). Choose your file and click OK. Click on Detect 

JTAG Chain Info. 
 
hbrave问:MAX3000大概什么在国内上市 
专家(ivan Li)答复:Max3000 has already been used by China customers for more than 1 

year. 
 
shirial问:用nios开发一个具有89c51单片机功能的芯片需要多大容量的fpga芯片,价位大概多少

? 
专家(robin)答复:用nios开发一个具有89c51单片机功能的芯片需要多大容量的fpga芯片,价位

大概多少? You can choose 16-bit data with NIOS in your application.(need about 

1600LE).How about your other Logic need except NIOS cpu? 
 
lishuanghua问:艾睿公司也是你们的代理吗?我觉得他们还要好一些 
专家(Horace)答复:Please let me know your contact point and case. My email address: 

horace@cytecht.com  
 
marktang问:有没有带AD/DA的FPGA? 
专家(Bill Yuan)答复:目前还没有,需要外接 
 
qanmingx问:在西安有没有经销商? 
专家(Horace)答复:You can contact Cytech Xian office Phone number ( 029 ) 8378918 or 

8378919 
 
strongzhy问:请问MAX3000a系列没用到的管脚是否可以开路 
专家(Bill Yuan)答复:如果定义为输出或没有定义,可以开路如果是输入脚并且没有使用,需要

接地 
 
zhouzhengf问:sopc builder是自动生成引导程序引导硬件的自检和软件的运行? 
专家(ivan Li)答复:SOPC builder can generate Hardware and software automatically, you 

can follow SOPC builder wizard  step by step. 
 
mikezhang问:我看到专家推荐的CPLD是MAX3000A,这个不是很老了吗?容量确实很小呀!为什么不

讨论讨论用的更多的Max7000呢?我用的就是Max7000的 
专家(eric deng)答复:Both MAX 7000AE & MAX 3000A are the popular families for CPLD.  

The density for MAX 7000AE & MAX 3000A are the same - from 32 MC to 512 MC.  For our 

7000AE, it is our high performace family which has more I/O (compare with same package) 

& more package chioces.  For MAX 3000A, it is low cost soultion and its pricing is very 

attractive. 
 
myq_2003问:quartus都有那些版本,如何得到?是free的吗 
专家(Bill Yuan)答复:Quartus II Full Edition Quartus II Web Edition--Free,can 

download from altera website 
 
明空问:那些器件可以不用串接电阻即可支持5v pci 
专家(Edward)答复:The device which have 5V tolerate I/O can support 5V 

PCI,MAX7000AE,MAX7000S,FLEX,APEX20K.Pls check the datasheet of the device with 

Mulit-Voltage I/O function. 
 
ehuang999问:请问演讲资料如何下载? 
专家(Horace)答复:Please send me your contact point. My email address: 

horace@cytecht.com 
 
lbkong2002问:altera公司为何不在武汉进行每月的技术培训 
专家(Horace)答复:Please send your company name, address, and phone. Cytechwill 

follow up this Altera Training. 
 
zoro问:刚才听讲作的实例中提到建立一个UART的速率是。。。,可否建立一个速率可调的UART?

可以自动设置吗? 
专家(robin)答复:You can chang parameter of UART in Nios application for you special 

need. 
 
strongzhy问:max3000a不用的管脚怎么处理? 
专家(ivan Li)答复:You can assign unused IO pins as input, and connect it to ground. 

That could reduce the risk of being influenced. 
 
lishuanghua问:nios有c语言吗? 
专家(Bill Yuan)答复:yes 
 
scanli问:qutaru ii 正式版和网络版有何不同? 
专家(Edgar Wong)答复:If you refer to Quartus II...Full version supports all devices, 

while web edition supports selected devices.  Please refer to this link about devices 

supported by web edition: 

http://www.altera.com/products/software/pld/products/quartus2/sof-quarwebmain.html?xy=d

s2_quawe 
 
lyghj问:FPGA内部的RAM速度最快是多少? 
专家(ivan Li)答复:Embeded memory of different altera device families has different 

performance. For example, Stratix internal memory can runnning at about 300MHz. 
 
xiaoweihua问:nios有没有嵌入式操作系统的支持? 
专家(Bill Yuan)答复:目前有以下一些嵌入式操作系统可以支持NIOS Nucleus Plus µClinux 

µC/OS-II KROS visualSTATE  
 
曹军义问:colony 和 flex家族最大的区别是什么.在Flex的逻辑可以移植到colony上吗? 
专家(robin)答复:Cyclone is newest Altera FPGA. If you use Cyclone,you will get a 

high performance and low cost. You can easy migrate your design to Cyclone. If you have 

special problem about it,you can contact Local Cytech FAE to help make clear your 

prolbem. 
 
hjh123问:Max 3000A& Max7000的区别,指性能和价格或应用方面 
专家(eric deng)答复:MAX 7000AE is our high performace solution & MAX 3000A is our 

low cost solution.  MAX 7000AE offers more I/O (compare with same package) & more 

package selection.  However, the pricing of MAX 3000A is very attractive. 
 
玉玟问:VHDL和Verilog这两种语言,那一种更实用一些? 
专家(Edward)答复:VHDL和VERILOG现在都比较通用,基本上VERILOG比VHDL语句更简洁一些,所以V

HDL也就更易懂.我们ALTERA支持多种语言嵌套使用. 
 
sunxj问:How to get your free trial-version of Quartus II CD? 
专家(eric deng)答复:You can get free Quartus II CD from our distributor - Cytech.  

Or you can download from our website http;//www.altera.com/. 
 
xddjd问:ALTERA很不注重小公司吗?用骏龙这种代理商是不是太烂了!!! 
专家(Horace)答复:Please let me know your contact point, we will help you to follow 
 
平凡的人问:有什么仿真方式吗?有类似仿真器的仿真工具吗? 
专家(Jing Kuo)答复:There are a lot of Simulation tools in the market now, such as 

ModelSim, VCS, VSS, Verilog-XL, Active-HDL etc.  Altera also provide embedded logic 

analyser, SignalTapII, for hard debug. 
 
xddjd问:有一次从骏龙买MAX7128,过了好久还把我们的货给别人了?最后剩一片问我们要RMB815

,是不是太黑暗了? 
专家(Horace)答复:Please let me know your contact point, we will help you to follow 
 
tiger_ning问:请问专家:maxplus 是不是没有手布线功能? 
专家(ivan Li)答复:MP2 will not support place Routing of interconnect manually, but 

you can use place LE location to control Routing. 
 
myq_2003问:nois用什么语言来写程序 
专家(Bill Yuan)答复:使用C或C++语言 
 
iamlci问:在杭州可有经销商? 
专家(Horace)答复:Please contact Cytech Shanghai office. The phone number ( 021 ) 

64401373 
 
iapnju问:好像也有flash工艺的cpld 
专家(eric deng)答复:Yes.  There is flash base CPLD.  But EEPROM base is more popular 

in the market, and we did not see any advantage for flash base CPLD. 
 
luet问:用NIOS配置完PFGA/CPLD后是不是已经可以作为CPU使用,比如代替单片机? 
专家(Jing Kuo)答复:NIOS can be designed into FPGA, but not CPLD yet.  And yes it can 

be used as a CPU.  Please check the details in this page to know which types of cpu it 

can replace. 
 
beatxym问:cyclone需要的下载电缆是怎样的?与flex系列的是否可以通用? 
专家(Bill 

Yuan)答复:可以使用通用的byteblasterMV来下载Cyclone器件,但是只有用ByteBlaster II才能

编程用于配置Cyclone的配置芯片EPCS1,EPCS4. 
 
tanghe2001问:请问你们在深圳有代理公司吗?能提供技术支持吗? 
专家(Edgar Wong)答复:Yes, we have distributor in Shenzhen.  You can find the 

distributor contact information with this link: 

http://www.altera.com/corporate/contact/sales/intl_distis/con-int_distis.html 
 
lishuanghua问:nios有c语言吗? 
专家(ivan Li)答复:Nios can support C language . And altera will support development 

tools. 
 
myq_2003问:如何得到sopc软件 
专家(Edgar Wong)答复:One of the quickest way is to contact your nearest Altera 

distributor for information of the software.  You can find the distributor contact 

information with this link: 

http://www.altera.com/corporate/contact/sales/intl_distis/con-int_distis.html 
 
lizhen7799问:nios是怎么类型的CPU,它是由ALTERA开发的吗!!我们可不可以了解的它的结构及

工作原理啊 
专家(ivan Li)答复:Nios is a soft core designed by Altera, you can find more detail 

information in our web: http://www.altera.com/ . 
 
sunxj问:How to get your CD containing free trial version of the software overseas? 
专家(Horace)答复:You can download the trial version on Altera web, or you can give 

me your contact point ( Address, phone number ), so we can send the trial software to 

you also. My email: horace@cytecht.com 
 
曹军义问:请问应用Flex器件可以进行NIOS开发吗? 
专家(Edward)答复:不可以,我推荐你用CYCLONE系列开发NIOS. 
 
xiaoweihua问:nios到底是什么核?是51的?还是ARM?还是另外别的类型?它的汇编指令跟谁比较接近


专家(robin)答复:Nios is a RISC Architecture CPU.  
 
zcl1229问:MAX PLUS—ii怎么没有介绍呢!我一直在用这种软件,应该说也能完成10k芯片设计 
专家(ivan Li)答复:Both MP2 and QII can be used to implement Flex10K device, but 

Altera recommend customer to use QII to make design, because QII will support all the 

altera device and will have update version in future. 
 
mazee问:5V DSP信号能直接和3.3V的EP1K30 的IO连接吗?有没有需要注意的地方? 
专家(Bill Yuan)答复:如果dsp的I/O口符合标准的TTL电平标准,可以直接相连 
 
starlq问:低价的fpga适合用于什么场合? 
专家(Horace)答复:You can use on different applications, such as communication, 

consumer product, industrial product ... so on. 
 
zhzhdao问:Can you tell me the difference between FPGA and CPLD except the configure? 
专家(eric deng)答复:CPLD is EEPROM base, PLD like architecture with fix delay time.  

It is more suitable for glud logic design like decoder.  FPGA is SRAM base, small base 

with rich register and the timing is base on the interconnect rounting.  It is more 

suitable for more complex system design like counter, pipline. 
 
陶军辉问:我现在正在学习PLD,请问我应该怎么样学习,才能够在最段的时间内掌握。谢谢! 
专家(Edgar Wong)答复:One of the quickest way is to contact your nearest Altera 

distributor and ask them to provide you some trainings.  You can find the distributor 

contact information with this link: 

http://www.altera.com/corporate/contact/sales/intl_distis/con-int_distis.html 
 
zzengx问:请问我如何在Excalibur 开发板中配置嵌入式linux? 谢谢 
专家(robin)答复:可以。 
 
guoyingwu问:您好,我想下载并口的电缆线能做多长?我们做过一个大于60公分就不行。 
专家(Bill Yuan)答复:下载电缆的长度和工作的环境和下载电缆中的244芯片的驱动能力有关,

建议小于50公分,如果需要加长,可以使用并口延长线 
 
玉玟问:审请到的MAX-PLUS的LICENSE为什么用不起来 
专家(Horace)答复:Please let me know your contact information, and we will arrange 

engineer to contact you soon. My email address: horace@cytecht.com 
 
luet问:请问专家:是不是说用nios配置完FPGA后,就可以完全取代单片机的功能了? 
专家(robin)答复:可以。另外在性能方面,如果配合用户逻辑部分,你可以在不提到cpu频率的

情况下到达很高的系统性能。 
 
XUHUI1106问:请问在开发SOPC时,是不是需要用到的IP都需要另外购买? 
专家(Edgar Wong)答复:SOPC builder includes free peripherals such as UART, Timer,SPI, 

SDRAM controller, Tri-state bridge, AHB bridge, and more.  After you install SOPC 

builder (come with Quartus II software), free peripherals and paid peripherals are 

marked differently. 
 
lllll76问:通过qutaru ii 的实时仿真和实际有多少差别 
专家(Bill Yuan)答复:我们的仿真软件给出的是最差的工作条件下的器件运行结果,实际的情况

会比仿真的结果好,不会比仿真的结果差 
 
graybear问:Nios以及开发的应用程序所需的内存空间是否可以采用外部存储器,而不用FPGA的on 

chip memory 
专家(robin)答复:可以。你可以根据需要扩充外部地memory。 
 
ningxiuwen问:作为一个初学者,如何得到你们的培训资料? 
专家(Horace)答复:Please let me know your contact point. My email address: 

horace@cytecht.com Then will send you information  
 
iamlci问:请问可否提供样片?我们公司现在正在选型 
专家(robin)答复:你可以和当地骏龙FAE联系。 
 
hehao问:如果图形输入和语言输入嵌套编程的话,怎么利用synplify或其他第3方工具进行综合? 
专家(Jing Kuo)答复:Schematic designs are not transferrable between different 

Synthesis tools.  So you can only use schematic design in QuartusII or Max+PlusII.  In 

a mix design methodology, you can use Synplify or other synthesis tools to compile HDL 

designs, while compile schematic, HDL,and/or netlists together in QuartusII or 

Max+PlusII. 
 
wxp177问:cyclone支持ARM吗? 
专家(Edward)答复:No,the cyclone can design our NIOS for CPU function,if you want to 

use ARM,you can study and use our Excalibur device.you can go to the website for more 

information. http://www.altera.com/products/devices/arm/arm-index.html 
 
wangjiwen问:近期有“NOIS”培训吗? 
专家(Horace)答复:Yes, we have the Nios Workshop recently. Please send your 

information to me ( horace@cytecht.com ) then we will contact you. 
 
graybear问:如果不采用IP核,Nios是否支持外部的接口芯片?例如USB2.0芯片、网口芯片等。 
专家(Edgar Wong)答复:Yes.  NIOS can easily interface with external logics or custom 

interfaces.  You can easily specify the interface connection in our SOPC builder 

software. 
 
wwqq0121问:can nios work as a simple computer? how fast can it work? 
专家(robin)答复:是的。你可以在我们的NIOS系统中加入多个外围设备。结合用户逻辑部分,你

定制协处理单元,可以大大加速的系统性能。 
 
iamlci问:MAX3000A在杭州市场的价格是多少?如果邮购呢? 
专家(Horace)答复:Please contact Cytech Shanghai office. Phone number: ( 021 ) 

64401373 
 
hehao问:现在FPGA的加密问题越来越受到关注,altera公司在这方面都对fpga做了什么样的改进? 
专家(ivan Li)答复:Altera provide FPGA encrypt solution with a white paper, you can 

find the that from altera datasheet. 
 
lishuanghua问:Q2好掌握吗? 
专家(Bill Yuan)答复:如果您有可编程器件设计的基础,还是很容易掌握的 
 
ningxiuwen问:你们在北京有代理公司吗?如何联系?可以免费试用版的quartus II 软件光盘吗? 
专家(Horace)答复:You can contact Beijing Cytech Tehnology Ltd The phone number is ( 

010 ) - 82684280 
 
wishfree问:Quartus中的并口下载电缆与maxplus的可以通用吗?可以自己制作吗? 
专家(Edward)答复:通用的,也可以自己制作,可查找文件dsbytemv.pdf 
 
seamas问:How many gates or LE a nios core need? 
专家(ivan Li)答复:A standard NIOS core need about 1600 LEs, and the minimum nios 

core only need about 900LEs. 
 
sheepbaa问:请问我在哪里能得到max plus2的使用说明? 
专家(Horace)答复:You can download the Maxplus II user guide from Altera web site, or 

you can contact Cytech FAE. 
 
yzhonghe问:请问专家,nios最快可以 
专家(robin)答复:>110MHz fmax Typical(Nios in Stratix or Cyclone) 
 
lizhen7799问:请问设计自己所期望的CPU后怎么与FPGA结合啊!若我用CPU来控制FPGA 
专家(ivan Li)答复:Altera provide SOPC builder to help you design you CPU system, 

which not only generate software source code, such as C head file, but also generate 

HDL file for you. SOPC builder could generate good interface between your CPU and LOGIC 

design. 
 
qinzh问:5V的TTL器件是否可以直接驱动cyclone系列的芯片? 
专家(Bill Yuan)答复:需要将Cyclone内部I/O的一个嵌位二极管打开,并在管脚上串接电阻,在

Cyclone上电配置完成之后,才可以接受5V的信号输入 
 
zcl1229问:我只用过max_plus II。其他的软件的索取怎样完成 
专家(Horace)答复:You can download the Web based software from Altera web site, and 

of course you can purchase the full version software from Cytech. 
 
zcl1229问:cpld和fpga的图形输入法的效果不是很理想!是否它只有效应用于简单的设计 
专家(Edward)答复:图形输入法也可以应用于复杂的设计,我们ALTERA支持多种输入法嵌套输入,

所以我推荐你在顶层用图形,底层用语言的方法更有条理.这方面我们有专门的文档和培训资料. 
 
zb7401问:嵌入式的arm的调试特点! 
专家(robin)答复:For your question: Hard Core Advantages Higher Performance Optimal 

Die Area / Function Time-to-Market  Lots of On-Chip Memory Leverage Large Existing Code 

Base  
 
平凡的人问:maxplusII支持3000系列吗? 
专家(ivan Li)答复:Both MP2 and QII can support Max3000A device. 
 
zheqiao问:请问贵公司的在有没有信道译码领域Ip Core,比如RS Turbo译码 
专家(Bill Yuan)答复:我们提供很多的信道编码IP Core,包括您提到的RS, 和 Turbo我们都有 
 
dian1231问:epic20,how much? 
专家(Horace)答复:Please send your contact point to me. My email: horace@cytecht.com  
 
fiendzzh问:cyclone 锁相环时钟输入是否可以为一正弦波? 
专家(ivan Li)答复:锁相环时钟输入是数字式的时钟信号,不能接模拟的正弦波信号. 
 
gdsxu问:这套demo的价格是多少 
专家(Horace)答复:Please let me know which demo kit ??? 
 
quyangming问:请问应用MAX PLUS可以进行NIOS开发吗? 
专家(Bill Yuan)答复:不行,需要Quartus II 软件 
 
luet问:请问陈先生,作为初学者如何才能快速的掌握CPLD/FPGA的开发? 
专家(Edward)答复:我们ALTERA的软件对于初学者来说很容易学习,另外我们ALTERA和骏龙科技公

司有很多的应用工程师可以提供技术支持.另外你还可以学习一些VHDL的设计知识. 
 
yangwu问:开发板能按linux kernel吗? 
专家(robin)答复:Yes! 
 
zhouzhengf问:onchip-memory是配置在nios cpu中间还是指配置在所用的fpga中? 
专家(Bill Yuan)答复:on-chip memory 是指用fpga内部的嵌入存储器块来实现nios软核数据或

程序存储器 
 
ZYB00335问:如何得到你们的书面资料 
专家(Horace)答复:Please send me your contact information. My email: 

horace@cytecht.com  
 
徐涛问:请问有无免费开发环境(试用版也可以),在哪下载? 
专家(Bill 

Yuan)答复:您可以去我们的网站上http://www.altera.com/下载我们的开发软件maxplus II 

和Quartus II免费版本,您使用的时候需要在我们的网站上http://www.altera.com/申请一个免费

的license即可 
 
qgfice问:在国内 CYlone芯片的价位大致在哪个档次,还有Quartus2.1软件开发工具是不是具有完

整的nois软硬件开发功能 谢谢 
专家(Horace)答复:The price will be based on your quantity, and Cyclone should be the 

lowest price FPGA in the world. For your develop Nios design, in addition to Quartus II 

software, you should also have SOPC software, suggest you buy the Cyclone-Nios Kit 

which have a special offer till to End of June, 2003. The price is USD 495 per kit 
 
zhouzhengf问:各位专家是怎么解决fpga中时延不确定对逻辑电路设计的影响的? 
专家(ivan Li)答复:Altera recommend customer to make synchronal logic in the FPGA, 

because you use synchronal logic design, all the application works with trigger of 

clock rising edge, which could reduce the different timing skew when you implement 

design in different device.  
 
xujin47问:原系统使用MCS-51及Z80处理器,怎样将这些系统转换成“Nios”系统?性能能否提高

? 
专家(robin)答复:Nios is support C/C++,and you can us GNUPro compile,link,and debug 

your nios systme. Nios is RISC architecture CPU.It will run a high better performance 

compare with MCS-51 and Z80. 
 
zhouzhengf问:使用nios软核进行产品的批量生产需要申请版权吗? 
专家(Bill Yuan)答复:如果您只需要将nios使用在Altera的FPGA产品内,不需要另外申请版权,

如果您想将nios移植到ASIC中,则需要另外申请版权 
 
shjjsj问:what is the different between FPGA and CPLD? 
专家(Jing Kuo)答复:The consensus now is that FPGA is Look-Up-Table based 

architecture and CPLD is Product-Term based architecture. 
 
sunhaihuan问:cyclone的明显优势是什么? 
专家(ivan Li)答复:Cyclone is altera newest device designed with the world advanced 

technology, 0.13um, full copper interconnect. The best advantage of cyclone is low 

price. 
 
xujin47问:Maxplus2学生版软件对用户有没有限制?能否开发已停产或即将停产的FPGA器件,例如

EPF10K20或EPM7128 
专家(Edward)答复:对EPF10K20或EPM7128没有限制,而且我们的免费软件还支持全系列的最新MAX

3000A,CYCLONE系列(这次SEMINAR讲到的). 
 
qanmingx问:请问avalon总线及其标准是什么 
专家(ivan Li)答复:Avalon bus is design by Altera, which is internal bus which 

interface to NIOS core. You can find the Avalon bus protocol in the documentation 

folder after you install NIOS megafunction. 
 
gxm771208问:how to maximized 
专家(Jing Kuo)答复:Please let us know what do you want to maximize. Thanks. 
 
fansr问:贵公司提供评估板电路原理图和印刷板图吗,ruhe 
专家(robin)答复:nios is License & Royalty Free. 
 
fansr问:计划出版Quartus软件相关书籍,公司可赞助吗?要求是什么? 
专家(Horace)答复:Please send your contact information to us: horace@cytecht.com 
 
lizhen7799问:请问怎么来确定PLD的延时啊 
专家(Bill Yuan)答复:我们的设计软件Maxplus II 和Quartus II都可以进行时序分析,在分析

的结果中可以清晰地看到每个pin的建立和保持时间,以及时钟信号的fmax,还有管脚倒管脚地延时

信息等,都可以看到 
 
lizhen7799问:nios是什么样的处理器啊 
专家(ivan Li)答复:Nios is a soft CPU core, 32-bits. Users can customize their own 

CPU core with different peripheries as they like. And Nios core can be implemented in 

all the altera device if it has enough resource.  
 
sunnychao问:32 bit 的内核的峰值MIPS为多少? 
专家(robin)答复:nios是一个嵌入在FPGA内部的softcpu,会因为你使用的Altera FPGA的性能有

所不同。在我们的cyclone FPGA器件中,可以到达50DMIPS。 
 
wangyujuan问:开始了吗? 
专家(Jing Kuo)答复:Yes. 
 
macray问:请问专家,如果因为条件限制,短时间内不能购买贵公司硬件评估产品,能不能先申请

一套软件用于学习? 
专家(Edward)答复:可以,请联系骏龙公司获取或上网http://www.altera.com/下载免费软件,具

体使用可寻求支持. 
 
fyx123问:内部时延和所用时钟有关系吗?在允许频率范围内,是否所用时钟频率越高,时钟延迟

越小?还是固定延迟? 
专家(Jing Kuo)答复:If I understood your question correctly, you are asking if clock 

delay is fixed inside FPGA.  The answer is Yes.  The delay from a clock input pin to an 

internal register is fixed, regardless what frequency it is running. 
 
quguangn问:需要使用配置信息的flex系列芯片如何加密?可否在线更改配置以实现新的功能,如

何操作? 
专家(Bill Yuan)答复:flex系列芯片无法加密,不过用户可以在系统中在设计一个EPLD,将一部

分功能用epld来实现,并将此EPLD加密,可以实现整个系统的加密;可以在线更改配置以实现新的

功能,需要预先将所以功能设计好,并将配置文件存储在存储器中,在不断电的情况下,用控制逻

辑或微处理器重新配置新的数据就可以实现新的功能 
 
quguangn问:quartus II 与 max+plus II  有何区别?为何要分成两个开发系统? 
专家(ivan Li)答复:QII 和MP2最大的区别是对支持的器件的不同.当然在做设计时,QII和MP2的

功能基本上都能完成任务, 只是在界面上有一些不一样. 不过ALTERA主要会向QII 发展, 现在所有

的MP2 PROJECT 已经可以在QII中完全兼容. 
 
kevin_li79问:您好!请问CPLD和FPGA的主要区别是什么? 
专家(Edward)答复:CPLD和FPGA的主要区别是:EPLD是EEPROM的工艺,FPGA是SRAM的工艺,也就是说

EPLD内的程序掉电后不会丢失而FPGA掉电后会丢失每次上电需重新配置.但SRAM工艺使FPGA容量越来

越大. 
 
fuzhuang问:nios 开发软件价格如何? 
专家(Horace)答复:You can buy the Cyclone-Nios kit or Stratix-Nios kit, and we have 

the special offer before end of June, 2003 Price = USD 495 
 
xujin47问:对于初学者来说,怎样取得QUARTUS2完整版及“Nios”试验板(Demo board) 
专家(Bill Yuan)答复:Quartus II 完整版本和NIOS试验板都需要购买,请和我们的代理商联系 
 
holly问:cyclone支持vhdl吗? 
专家(robin)答复:能够支持。 
 
macray问:请问专家,一套标准的NIOS的评估套件要几个银子? 
专家(Horace)答复:Cyclone-Nios Kit or Stratix-Nios Kit have a special offer before 

End of June, 2003  Price = USD 495 per Kit 
 
gmk54205问:在MAXPLUS 中怎样作才能对EPM7032S编程 
专家(Bill 

Yuan)答复:在maxplus中可以用下载电缆直接对EPM7032S编程,只需要打开programmer窗口,调入

需要编程的文件即可 
 
cxiang2001问:当前最热门用到的CPLD是什么? 
专家(ivan Li)答复:Max 3000A& Max7000 
 
fansr问:怎样能得到评估板的电原理图和印刷板图?????? 
专家(Bill Yuan)答复:我的会随评估板一起提供给客户电路原理图很印刷版图,所有的资料都在

配套的光盘中 

128)powery问:可以从哪些方面来降低FPGA方案的成本  5-30 10:25:0 
Altera 专家回复:kjhkjjk  5-30 10:25:0 
 
528)xiaoweihua问:ByteBlaster II下载电缆可以自己做吗?  5-28 12:3:0 
Altera 专家回复:Yes, Altera has released ByteblasterII schematic on web.  5-28 12:3:0 
 
909)quguangn问:max3000a是在线可编程的吗?需要购买编程器?  5-28 12:3:0 
Altera 专家回复:Yes MAX3000A has in-system programmability.  You can re-program the 

device thru the JTAG port,using ByteBlaster, or using CPU to emmulate the Byteblaster.  

5-28 12:3:0 
 
主持人:另外感谢Altera的专家,Paul Chan, Keith Sin, Rebecca Tang, Horace, Robin, Ivan 

Li, Eric Law, Bill Yuan, Susan进行的答疑  5-28 12:2:0 
 
主持人:欢迎您抽时间填写下方的在线调查, 

以促进我们的服务水平。参加调查者将免费获得Altera赠送的技术资料光盘。  5-28 12:2:0 
 
主持人:再次感谢大家抽时间参加21IC和Altera公司的低成本FPGA和CPLD在线研讨会,谢谢您的支

持和参与。  5-28 12:1:0 
 
主持人:观看会议录像的地址是 http://www.21ic.com/  5-28 12:1:0 
 
主持人:因为问题太多,有些问题可能需要会后专家整理后才能回答,我们将来会公布在网上,供

大家下载。  5-28 12:0:0 
 
主持人:因为时间关系,本次在线研讨会结束。您可以继续在此观看讲演录像或者答疑。  5-28 

12:0:0 
 
892)jpangpeng问:MAXPLUSII和Quartus II能免费得到吗?  5-28 11:59:0 
Altera 专家回复:You can go to http://www.altera.com/ to download QII and MP2 Free 

version.  5-28 11:59:0 
 
925)myq_2003问:听说ALTERA很多产品都不支持三态,是这样吗  5-28 11:59:0 
Altera 专家回复:我们的可编程器件都支持三态输出  5-28 11:59:0 
 
133)hpr951511问:请问:怎么体现低成本呢?  5-28 11:58:0 
Altera 专家回复:You can select low cost altera CPLD or FPGA for your application. You 

can contact with local Cytech local FAE to help select detail type device for your 

application.  5-28 11:58:0 
 
874)phdb问:为什么可用资源少于20%后,运行不稳定,我现在设计了一个产品,可用资源少于10%

,请问我能用所选器件吗?需要更换逻辑单元更多的器件吗?  5-28 11:58:0 
Altera 专家回复:As long as you can fit the design into the device and your simulation 

is correct, you can use the device.  Howver, if you find the performance not stable, it 

is probably caused by other crutial factors, such as signal integrity, test holes, 

asynchronous s, ground bounce, etc., and you should get them taken care of first.  5-28 

11:58:0 
 
915)hibive问:基于SRAM的FPGA通过JTAG下载使用的次数是不是无限次的?EEPROM的有次数限制是

吗?  5-28 11:58:0 
Altera 专家回复:Yes  5-28 11:58:0 
 
558)youkely问:我是一个单片机应用都有,对CPLD是一个初学者,想知道在Lattice 和Xilinx 和 

Altera 哪一家更适入门及应用,还有就是在语言上和逻辑图上哪一种用的更适合设计。学哪一种更

有前途。  5-28 11:57:0 
Altera 专家回复:It needs longer time to discuss your question, we will contact you 

regarding your question after the seminar.  5-28 11:57:0 
 
840)jxlee问:器件的供货周

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
推荐文章
最近访客